Accelerator Functional Unit Developer’s Guide for Intel® FPGA Programmable Acceleration Card

ID 683129
Date 7/20/2020
Public
Document Table of Contents

5.3.2.2.4. Clock Abstraction for the cci-p Device Interface

The PIM abstracts the clock and reset for the cci-p device interface passed to the AFU with the following Verilog macros:
  • PLATFORM_PARAM_CCI_P_CLOCK
  • PLATFORM_PARAM_CCI_P_RESET
The following RTL code snippet shows how to utilize the above macros to set the clock and reset signals in the AFU implementation for the cci-p interface:
`include “platform_if.vh”
logic clk;
assign clk = `PLATFORM_PARAM_CCI_P_CLOCK;
logic reset;
assign reset = `PLATFORM_PARAM_CCI_P_RESET;

This clock and reset abstraction enables compatibility for an AFU design's clock and reset connectivity on the cci-p device interface regardless of any clock-crossing requested in the platform configuration file.

The hello_mem_afu sample AFU provides an example for using the macro abstractions:

$OPAE_PLATFORM_ROOT/hw/samples/hello_mem_afu/hw/rtl/ccip_std_afu.sv