ModelSim* - Intel® FPGA Edition Simulation Quick-Start: Intel® Quartus® Prime Pro Edition

ID 683305
Date 12/30/2019
Public

1.5. Compile and Simulate the Design

Run the top-level mentor_example.do script in the ModelSim* - Intel® FPGA Edition software to compile and simulate your design.
  1. Launch the ModelSim* - Intel® FPGA Edition software. The ModelSim* - Intel® FPGA Edition GUI organizes the elements of your simulation onto separate windows and tabs.
  2. From PLL_RAM project directory, open the testbench_1.v file. Similarly, open the mentor/mentor_example.do file.
  3. To display the Transcript window, click View > Transcript. You can enter commands for ModelSim* - Intel® FPGA Edition directly in the Transcript window.
  4. Type the following command in the Transcript window and then press Enter:
    do mentor_example.do
The design compiles and simulates, according to your specifications in the mentor_example.do script. The following figure shows the ModelSim* - Intel® FPGA Edition simulator:
Figure 5.  ModelSim* - Intel® FPGA Edition GUI