ALTDQ_DQS2 IP Core User Guide

ID 683742
Date 5/08/2017
Public
Document Table of Contents

ALTDQ_DQS2 Dynamic Configuration Ports

The following table lists the dynamic configuration ports where n= number of DQ pins and m= number of additional DQ pins.

ALTDQ_DQS2 Dynamic Configuration Ports

Port name

Type

Width

Description

config_clock_in

Input

1

The ALTDQ_DQS2 dynamic configuration interface consists of this input port.

Receives the clock signal to clock all dynamic configuration blocks. You can connect this port to a clock pin, or the PLL clock output port.

This is the clock signal. All other input signals must be treated as synchronous to this clock.

This port is supported in Arria V, Cyclone V, and Stratix V devices.

config_data

Input

1

The ALTDQ_DQS2 dynamic configuration interface consists of this input port.

The 1-bit serial input through which data is scanned into the calibration blocks. It is common to all configuration blocks, but it will only be scanned into calibrations blocks whose enable input is asserted.

This port is supported in Arria V, Cyclone V, and Stratix V devices.

For Stratix V GX and Arria V GZ devices, the bitstream for config_data must be LSB first (from LSB to MSB).

For Arria V and Cyclone V devices, the bitstream for config_data must be MSB first (from MSB to LSB).

config_io_ena[]

Input

n

An input port that controls the enable input on the DQ I/O configurations. Receives the clock enable signal for the I/O configuration block.

Refer to Dynamic Reconfiguration for ALTDQ_DQS2

This port is supported in Arria V, Cyclone V, and Stratix V devices.

config_dqs_io_ena

Input

1

An input port that controls the enable input on the DQS I/O configurations. Receives the clock enable signal for the DQS I/O configuration block.

Refer to Dynamic Reconfiguration for ALTDQ_DQS2

This port is supported in Arria V, Cyclone V, and Stratix V devices.

config_dqs_ena

Input

1

An input port that controls the enable input on the DQS logic. Receives the clock enable signal for the DQS configuration block.

Refer to Dynamic Reconfiguration for ALTDQ_DQS2

This port is supported in Arria V, Cyclone V, and Stratix V devices.

config_update

Input

1

The ALTDQ_DQS2 dynamic configuration interface consists of this input port.

Receives the signal to load the bits from the serial-to-parallel shift registers to the configuration registers.

After scanning all the bits into the desired scan chain blocks, the bits can be copied at once into the configuration register by asserting the config_update signal for one clock cycle.

This port is supported in Arria V, Cyclone V, and Stratix V devices.

config_data_in

Input

1

Receives the serial configuration data stream that shifts into the serial‑to-parallel shift registers.

This port is supported in Arria V, Cyclone V, and Stratix V devices.

config_extra_io_ena[]

Input

m

Receives the clock enable signal for the additional I/O configuration block.

This port is supported in Arria V, Cyclone V, and Stratix V devices.

Note: For more information about the dynamic configuration blocks in Stratix V device, refer to “I/O Configuration Block and DQS Configuration Block” in the External Memory Interfaces in Stratix V Devices chapter of the Stratix V Device Handbook.