AN 307: Intel® FPGA Design Flow for AMD* Xilinx* Users

ID 683562
Date 9/08/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.2.1. Command-Line Executable Equivalents

The table and following sections describe and compare the two software flows using command line executables. The examples belong to the fir_filter design, included in the Intel® Quartus® Prime Pro Edition installation.

Table 8.  Command-Line Executable Equivalents
AMD* Xilinx* Vivado* Software Intel® Quartus® Prime Pro Edition Software Description
Non-Project Mode Project Mode
read_ip add_files

import_files

quartus_ipgenerate IP generation
synth_design

opt_design

launch_runs synth_1 quartus_syn Elaboration checks for design files and project errors.

Translates project design files (for example, RTL or EDA netlist), and maps design elements to device resources.

place_design

phys_opt_design 7

route_design

phys_opt_design 7

launch_runs impl_1 quartus_fit (full compile)

OR

quartus_fit --plan

quartus_fit --place

quartus_fit --route

quartus_fit --finalize

Places and routes the device resources into the FPGA.
Not Available quartus_fit --retime 8 Enabled by default, and runs before finalizing. Moves existing registers into Hyper-Registers for fine-grained performance improvement.
Not Available quartus_fit --fastforward 8 Disabled by default. Runs after retime but before finalize. Generates detailed reports that estimate performance gains achievable by making specific RTL modifications.
report_timing quartus_sta Performs a static timing analysis on the design.
write_bitstream quartus_asm Generates programming file from post-place-and-route design.
report_power quartus_pow Performs power estimation on the design.
write_sdf

write_verilog

write_vhdl

quartus_eda Generates output netlist files for use with other EDA tools.
write_checkpoint quartus_cdb Saves the snapshot of the design database.
Not Available quartus_sh --flow compile Automates the compilation flow.

For command line help on any of the Intel® Quartus® Prime executables, type <command-line executable> --help at the command prompt. A GUI-enabled help browser is also available that covers all Intel® Quartus® Prime command-line executables.

Figure 2. Command-Line HelpStart this browser by typing quartus_sh --qhelp at the command prompt.


7 Optional
8 Retime and Fast-Forward Compilation available only for Intel Agilex® 7 and Intel® Stratix® 10 devices.